Лабораторная работа: Исследование комбинационных устройств

Исследование комбинационных устройств

Екатеринбург 2005


КОМБИНАЦИОННЫЕ УСТРОЙСТВА

Устройства, оперирующие с двоичной (дискретной) информацией, подразделяются на два класса: комбинационные и последовательностные.

Комбинационные устройства (КУ) характеризуются отсутствием памяти.

Сигналы на их выходах в любой момент времени однозначно определяются сочетанием сигналов на входах и не зависят от предыдущих сигналов.

Схемными признаками таких устройств является отсутствие цепей обратной связи с выхода на вход. К КУ относятся сумматоры, дешифраторы, шифраторы, преобразователи кодов, мультиплексоры, демультиплексоры, схемы сравнения кодов и т.д.

Сумматоры. Сумматоры представляют собой функциональные цифровые устройства, выполняющие операцию сложения чисел. В цифровой технике суммирование осуществляется в двоичном или, реже, в двоично-десятичном коде. По характеру действия сумматоры подразделяются на комбинационные и накопительные. В свою очередь, каждый из сумматоров, оперирующий с многоразрядными числами, в зависимости от способа их сложения может быть отнесен к последовательному или параллельному типу. Сумматор имеет n входов разрядов слагаемого А, n входов разрядов слагаемого В и вход переноса cr (carry – перенос). Выходами сумматора являются n выходов разрядов суммы S и выход переноса (переполнения) CR. Сумматор характеризуется четырьмя значениями задержки распространения:

TCrs – от подачи входного переноса до установления всех выходов суммы. При постоянном уровне на всех входах слагаемых (а и b);

TAs – от одновременной подачи всех слагаемых до установления всех выходов суммы при постоянном уровне на входе переноса (выходной CR не учитывается);

TcrCR – от подачи входного переноса до установления выходного CR при постоянном уровне на входах слагаемых;

Tacr – от подачи всех слагаемых до установления выходного переноса CR при постоянном уровне на входах слагаемых.

Как последовательные, так и параллельные сумматоры строятся на основе одноразрядных суммирующих схем. Примером сумматора может быть микросхема серии К155ИМ2.

Шифраторы

 

Шифратором называется комбинационное устройство, преобразующее унитарный код, подаваемый на входные шины, в соответствующий код на выходах.

Задача шифратора сформировать код. На ввод шифратора могут подаваться различные сигналы: логический «0» через контакты кнопок клавиатуры управления или сигналы с других устройств, но во всех случаях в шифраторе происходит преобразование одного сигнала в n-разрядный код. На рисунке представлена схема шифратора на диодах. На следующей схеме (рисунок) если нажать на несколько кнопок сразу, а затем отпустить, то на выходе шифратора будет код последней отпущенной кнопки.

Если ни одна кнопка не нажата, то на выходах 1-2-4-G МС 1 устанавливается сигнал с уровнем логической единицы. При нажатии на одну из кнопок на выходе 1-2-4 появляется сигнал инверсного кода, соответствующий номеру нажатой кнопки, а на G-“0”. При отпускании кнопки здесь (на G) будет 1 и поэтому сигнал ДД2 запишет на выход код этой кнопки.

Рассмотрим подробнее структуру МС шифратора.

Классический шифратор имеет m входов и n выходов, и при подаче сигналов на один из входов (обязательно на один и не более) на выходе узла появляется двоичный код номера возбужденного выхода.

Число входов и выходов такого шифратора связано соотношением m=2n.

Для построения шифратора можно использовать схемы ИЛИ – по одной на каждый выход. При этом схема разбивается на n простых фрагментов. К входу элементов ИЛИ каждого выходного разряда должны быть подключены те входы шифратора, в двоичном представлении номера которых есть

единица в данном разряде. Так, к ИЛИ младшего разряда формируемого выходного кода должны быть подключены все нечетные входы, поскольку у всех нечетных номеров и только у них в младшем разряде содержится единица. Функциональная схема такого шифратора представлена на рисунке. Эту схему можно преобразовать по формулам де Моргана. В новом варианте вместо схемы ИЛИ будут И-НЕ.

Совместно с шифратором в состав кодирующих узлов может входить схема выделения старше единицы. Эта схема преобразует m-разрядное слово следующим образом: все старшие нули и самая старшая единица входного кода пропускается на вход без изменения; все разряды более младшие, чем старшая единица, заменяются нулями. На схеме на входы а012и поступает преобразуемое слово (а0- младший разряд, а2- старший разряд), на вход EI (от enable in) – входной сигнал разрешения. При EI=1 схема работает следующим образом: любое число старших нулей порождает на выходах своих разрядов единицы и никак не влияет на работу элементов И-НЕ более младших разрядов. Любая самая старшая единица порождает на соответственном выходе нуль (активный низкий уровень выхода) и запирает все более младшие элементы И-НЕ, устанавливая на их выходах не активный высокий уровень. При этом низкий уровень появляется и на выходе EO (от enable out) – выходе разрешения.

Если разрядность обрабатываемого слова (число входных сигналов) превышает разрядность схемы, то слово разбивается на группы и выход EO более старшей группы подается на вход EI более младшей. При таком включении единица, поступившая на любой вход любой группы, запрет не только все более младшие разряды своей группы, но по цепи EO-EI и все более младшие группы. На выходах всей схемы останется только самая старшая единица входного слова, представленная активным низким уровнем.

Если к выходу схемы выделение старше единицы подключить шифратор, то в сумме получится функциональный узел приоритетного шифратора (priority encoder), формирующий в двоичном коде номе самой старшей единицы из всех, присутствующих во входном слове.

С выходами рассмотренной схемы хорошо стыкуются входы шифратора, двойственного по отношению к рассмотренному ранее (то есть на элемент И-НЕ): инверсным выходом одной схемы (битовое деление старше единицы) будут соответствовать инверсные входы другой, и весь приоритетный шифратор будет построен на технологичных элементах без лишних инверторов.

Если во входном слове присутствует только одна единица, то приоритетный шифратор будет выполнять функцию обычного шифратора. Поэтому МС обычных шифраторов не встречаются почти ни в одной серии, а приоритетные шифраторы - в составе многих серий.

Упрошенная структура МС155ИВ1 представлено на рисунке.

ВХОД ВЫХОД
E1

a0

a1

a2

a3

a4

a5

a6

a7

GS

A0

A1

A2

EO
B X X X X X X X X B B B B B
H B B B B B B B B B B B B H
H X X X X X X X H H H H H B
H X X X X X X H B H B H H B
H X X X X X H B B H H B H B
H X X X X H B B B H B B H B
H X X X H B B B B H H H B B
H X X H B B B B B H B H B B
H X H B B B B B B H H B B B
H H B B B B B B B H B B B B

Из таблицы следует, что вход EI позволяет сделать все входы неактивными (а07) при EI=1, т.е. напряжение высокого уровня на этом входе – это напряжение запрета при этом и на всех выходах высокий (неактивный) уровень.

На выходе GS низкий уровень, если хотя бы на одном выходе (сигнальном: а0, а1, а2) низкий, иначе хотя бы один из входов активный (кроме а0, при этом сигналы А0, А1, А2 и EO – высокие).

На EO низкий уровень, если на всех входах высокие уровни. Приоритетный шифратор обозначают так:

Эта схема до 16 входов. Для десятичной клавиатуры или декадных переключателей система шифратора может быть реализована следующим образом.

Дешифраторы. Дешифратор (декодер) – это комбинационное устройство с несколькими входами и выходами, у которого определенным комбинациям входных сигналов соответствует активное состояние одного из выходов. Дешифраторы преобразуют двоичный и двоично-десятичный коды в унитарный код, т.е. код двоичного и n-разрядного числа, представленного 2 разрядами, только один из разрядов которого равен 1.

Используются три структуры дешифраторов: матричная, каскадная и пирамидальная.

Если декодер имеет n входов m выходов и использует все возможные наборы входных переменных, то m=2n.

Такой декодер называют полным. Если используются лишь часть наборов, то такой декодер называют неполным. DC используют, когда нужно обращаться к различным цифровым устройствам, и при этом номер устройства(его адрес) представлен двоичным кодом.

Формально описать работу DC можно, задав список функций, отрабатываемых каждым из его выходов Yi? так для DC 3-8:

Yo = ; Y1 = ; Y2 = ; Y3 =; ... Y7 =a4a2a1,

а)                                       б)

Рис. 1. Матричный дешифратор 3-8: а)структура, б) условное обозначение


Матричные дешифраторы состоят из 2 логических схем совпадения (И), каждая из которых имеет n входов. На входы подаются все возможные комбинации прямых и инверсных разрядов дешифрируемого числа X. Пример матричного дешифратора 3x8 приведен на рис. 1.

Недостатком матричных дешифраторов является большое число входов логических элементов с ростом разрядности. Этот недостаток менее существен при использовании диодной логики. Пример такого дешифратора приведен рис. 2. Для того, чтобы такой дешифратор обладал свойством наращиваемое для увеличения разрядности входного числа, он должен строиться из одинаковых схем. На рис. 2 они обведены пунктирной линией. Так, дешифратор 2. может быть построен на основе двух таких схем, дешифратор 3x8- на основе трех схем и т.д.

Рис. 2. Матричный дешифратор на диодной логике

Пирамидальные дешифраторы отличаются тем, что каждая конституента единицы формируется как конъюнкции одной из конституент предыдущего каскада и одного из разрядов входного числа, еще не использовавшегося для формирования конституент. Принцип построения пирамидального дешифратора иллюстрируется на рис. 3. Из схемы видно, что в этом случае можно использовать элементы на два входа.

Рис. 3. Структура пирамидального дешифратора

Дешифраторы входят в состав нескольких серий ТТЛ. В качестве примера приведено условное обозначение микросхем дешифратора 4x16 типа К155ИДЗ (рис. 4). Если на обоих входах разрешение VO=V1=0, микросхема работает как дешифратор. Потенциал VO=1, Vl=l устанавливает уровень "1" всех выходах независимо от состояния входов. Данные микросхемы позволяют наращивать разрядность дешифратора.

Рис. 4. Условное обозначение дешифратора типа К155ИДЗ

Рис.5. Шифратор микросхемы 5x3 на диодах

Преобразователи кодов (ПК). Предназначены для преобразования одного параллельного кода в другой. Они используются для шифрации и дешифрации цифровой информации и могут иметь n входов и К выходов. По назначению ПК можно разделить на два типа: с невесомым и с весовым преобразованиями кодов. В преобразователях первого типа отсутствует численная зависимость входного и выходного кодов, а имеет место сим­вольная взаимосвязь. Например, преобразование двоично-десятичного кода в код семисегментного индикатора десятичных цифр.

Таблица 2

Трехэлементный код Пятиэлементный код
Х3 Х2 Х1 У1 У2 У3 У4 У5
0 0 0 0 1 0 1 0
0 0 1 0 1 1 0 0
0 1 0 0 0 0 1 0
0 1 1 1 0 0 1 0
1 0 0 0 0 0 0 1
1 0 1 1 1 1 0 0
1 1 0 1 0 0 1 1
1 1 1 0 0 0 0 1

Преобразователи второго типа используются, как правило, для преобразования числовой информации, когда между числами входного и выходного кодов имеет место заданная математическая взаимосвязь.

Преобразование n-элементного кода в к-элементный можно осуществить с предварительной дешифрацией первого кода и без нее.

В первом случае сначала дешифрируется n-элементный код и на каждой из 2 выходных шин получается сигнал, соответствующий одной из входных кодовых комбинаций. Затем каждый из выходных сигналов кодируется в к -элементном коде при помощи шифратора.

Рассмотрим в качестве примера преобразование трехэлементного кода в пятиэлементный согласно табл. 2. Схема, реализующая такой ПК, показана на рис. 6. В качестве дешифратора используется полный дешифратор 3x8, а в качестве шифратора – диодный матричный шифратор.

Рис.6.Схема, реализующая преобразование 3-элементного кода в 5-элементный

Мультиплексоры. Мультиплексором (MS) называется комбинационное устройство, предназначенное для коммутации в желаемом порядке сигналов с нескольких входных шин на одну выходную. С помощью мультиплексора осуществляется временное разделение информации, поступающей по разным каналам.

Мультиплексоры в микросхемном исполнении обладают двумя группами входов и одним или двумя взаимодополняющими выходами. Входы: информационные; управления; адресные; разрешающие. Если адресных входов n, то информационных входов — 2. Набор сигналов на адресных входах определяет конкретный информационный вход, кото­рый будет соединен с выходом.

Наличие разрешающего входа расширяет возможности мультиплексора, позволяя синхронизировать его работу с работой других узлов. Этот вход используется также для наращивания разрядности.

Входы MS делятся на информационные, адресные и разрешающие (апробирующие). На первые подается информация, подлежащая передаче на выход. Адресные входы определяют нужный информационный вход. На разрешающий вход подается сигнал, разрешающий передачу информации со входа на выход. Наличие разрешающего его входа позволяет синхронизировать работу с работой других устройств, а также наращивать его разрядность.

Число адресных входов n позволяет коммутировать 2 входных каналов. Работа MS описывается следующим логическим уравнением:

где    Di, - входные информационные сигналы;

mi, - минтермы, образованные переменными адресных шин;

Y - стробирующий сигнал.

Из уравнения следует, что структура MS состоит из 2 схем совпадения, каждая из которых имеет n адресных, один информационный и один стробирующий вход, и одной схемы ИЛИ с п входами. Ее выход является выходом MS. Пример схемы MS с четырьмя информационными входами (4x1) приведен на рис. 7, а. Условное обозначение MS типа К155КП7 – на рис. 7, 6. Мультиплексоры в интегральном исполнении имеют возможность наращивания числа коммутируемых каналов.

Демультиплексоры. Демультиплексоры (DS) в функциональном отношении противоположны мультиплексорам. В них сигналы с одного информационного входа распределяются в необходимой последовательности по нескольким выходам, соответствующим кодам на адресных входах. При n-разрядном адресе DS может иметь 2 выходов.


Принцип работы DS поясняет рис. 8. Здесь D – информационный, А – адресный входы. В зависимости от сигнала А (0 или 1) по адресному входу открыт верхний или нижний элемент И и через него сигнал D подключается к выходу Yo либо к выходу Y1.

Рис.7.Принцип работы схемы мультиплексора 4х I а) и микросхема К155 КП7 б)

Рис. 8. Принцип работы демультиплексора а), пример DS 1x4 б)

Как и мультиплексоры, PS дополняются управляющим входом Y . Пример демультиплексора 1x4 представлен на рис. 8, б.

Рис. 9. Демультиплексор К155ИД4

На рис. 9 показано условное обозначение микросхемы К155ИД4, которая может выполнять роль как демультиплексора, так и дешифратора. Если входы А, В, С использовать как адресные, а информации передавать на вход V, схема работает как демультиплексор 1x8. При Y = О она работает как дешифратор состояния трех входов А, В и С на восемь выходов (от До до Е3).

2. КОНТРОЛЬНОЕ ЗАДАНИЕ

Разработать преобразователь кода по схеме дешифратор-шифратор с шифратором, выполненным по матричной диодной схеме, для преобразова­ния входной функции, заданной табл.3, в соответствующие им выходные при условии, что входные функции заданы - двоичным четырехразрядным кодом, выходные – двоичным пятиразрядным кодом. Диапазон изменения параметра X составляет (0...1)/2 с дискретностью 0,1. Параллельный код преобразовать в последовательный, направив его в линию связи с волновым сопротивлением 50 Ом.

МЕТОДИКА ВЫПОЛНЕНИЯ ЗАДАНИЯ

1. В соответствии с двумя последними цифрами зачетной книжки выбирается номер варианта и выполняется входная и выходная функции разрабатываемого устройства

Таблица 3

Вход Выход Вход Выход
1

sin х

х 15

1- sin  х

0.9 х
2

cos х

х 16

1-cos2  х

х
3

1 - sin х

0,8 x 17

х sin  х

sin х
4

1 - cos х

0,8 x 18

х cos х

cos х
5

sin х

x2

19

х(1- sin х)

х
6

cos х

x2

20

X (1 - cos х)

х
7 1- sin лх

x2

21

х (1- sin х) 2

sin x
8

1- cos х

x2

22

х (1 - cos х)2

cos x
9

(1- sin х)2

0,5 x 23

х (1 - cos х)1/2

х
10

(1- cos х)2

х 24

Х(1 - sin х) 1/2

х
11

(l-sin x)1/2

х 25

х(1 - sin х) 1/2

sin x
12

(1- cos х ) 1/2

х 26

х (1- cos х) 1/2

cos x
13

sin2х

х 27

1- х sin х

1- х cos х
14

cos2 х

0,4 x 28

sin х

cos х

2. Определяются дискретные значения входной функции при рав­номерной дискретизация с шагом 0,1 при изменении X от 0 до 1. Полу­ченные данные переводят в двоичный четырех разрядный код. Для этого каждое из полученных дискретных значений функции умножают на число (24 - 1), результат округляют до ближайшего целого десятичного числа, ко­торое и записывают в двоичном четырехразрядном коде. Результаты сво­дятся в таблицу. В качестве примера рассмотрен вариант 28. Здесь в строке I -указаны значения X, в строке 2 - х , в строке 3 - sin х в десятичном ко­де, в строке 4 - (2 -1) sin тех - . значения преобразуемой функции sin тех в десятичном коде с учетом разрядности входного десятичного кода, в строке 5 (2 -1) sin тех. округленное до ближайшего целого входной функции в де­сятичном коде, в строке 6 – двоичный четырехразрядный код преобразуемой функции.

3.  Определяют дискретные значения выходной функции при тех же значениях и по той же методике с учетом того, что выходная Функция записывается в двоичном пятиразрядном коде Результаты свидятся а таблицу. Для перевода функции cosnx в двоичный пятиразрядный код используется коэффициент (2s -1).

4.  Строится схема преобразователя кодов. Для этого используется де­шифратор 4x16, выходные шины 0 .. 15, которого с помощью диодов соеди­нены с пятью выходными шинами шифратора в соответствии с полученны­ми в результате выполнения пунктов 2 и 3, кодами выходной и входной функций. При этом двоичный четырехразрядный код входной функции на каждом из наборов определяет номер выходной шины шифратора, а соот­ветствующий ему двоичный пятиразрядный код – узлы соединения этой вы­ходной шины с соответствующей разрядной выходной шиной шифратора. Соединения выходной шины дешифратора и выходных шин шифратору осуществляются с помощью диодов только в тех разрядах, где код выходно­го пятиразрядного двоичного числа равен единице. Схема ПК для рассмат­риваемого примера строится аналогично рассмотренному преобразователю (рис 6).

В случае, если одному и тому же входному коду соответствуют различные выходные коды, следует взять одно из значений выходною кода.

Преобразование параллельного кода в последовательный возможно с помощью регистра либо мультиплексора. В первом случае код записывается в регистр по команде параллельной записи. Затем на управляющий вход RG подаются такты сдвига, под действием которых код сдвигается и в последо­вательном виде появляется на выходе старшего разряда Регистр следует вы­брать такой, чтобы в него можно было записать 5 разрядов, например, К531ИР24 или два ИР1. Команда записи и импульсы сдвига формируются специальным генератором (генератор можно не разрабатывать).

Во втором случае параллельный код подается на информационные входы мультиплексора (входы D (рис. 7)). На адресные входы (А, В, С) подаст двоичный код, формируемый, например, с помощью двоичного счетчика, запускаемого генератором тактов. Преобразование возможно как со стороны младшего разряда, так и со стороны старшего.

Характер Дискретные значения преобразуемых функций
1 x 0 0,1 0,2 0,3 0,4 0,5 0,6 0,7 0,8 0,9 0,1
2

[x]

0 9 18 27 36 45 54 63 72 81 90
3

sinx

0 0,16 0,31 0,45 0,59 0,71 0,81 0,89 0,95 0,99 1,0
4

(24-1)sinx

0 2,5 4,65 6,75 8,85 10,7 13,2 13,4 14,3 14,8 15,0
5

[(24-1)sinx]

0 3 6,75 7 7 9 11 12 13 14 15
6

двоичный код

[(24-1)sinx]

0000 0011 0110 0111 1001 1011 1100 1101 1110 1111 1111
Характер Дискретные значения преобразуемых функций
1 x 0 0,1 0,2 0,3 0,4 0,5 0,6 0,7 0,8 0,9 0,1
2

[x]

0 9 18 27 36 45 54 63 72 81 90
3

sinx

1,0 0,98 0,95 0,88 0,80 0,71 0,57 0,44 0,29 0,14 0
4

(24-1)sinx

31 30,4 29,7 27,4 24,8 22 17,7 13,7 9,0 4,3 0
5

[(24-1)sinx]

31 30 30 27 25 22 18 14 9 4 0
6

двоичный код [(24-1)sinx]

11111 11110 11110 11011 11001 10110 10010 01110 01001 00100 00000

В обоих случаях для согласования преобразователя с линией связи ставится формирователь импульсов, имеющий выходное сопротивление 50 Ом. Этот формирователь подключается к выходу F или F мультиплексора (рис. 7) или к старшему выходу регистра. Формирователь может быть сде­лан как на отдельных дискретных элементах – ключах на транзисторах, так и на основе специальных логических схем – линейных формирователях типа К155ЛЕ2.

Примечание. В указаниях приведены микросхемы 155 серии. Задание можно выполнять на любой серии микросхем.

Генераторы тактовых и управляющих импульсов можно не разраба­тывать и не приводить на принципиальной схеме. Но необходимо указать на временной диаграмме последовательность действия этих импульсов.

Студенты, знакомые с микропроцессором, могут выполнить данное задание на каком-либо микропроцессорном комплекте. В этом случае в от­чете следует представить программу преобразования непрерывной функции в код, увеличив число точек отсчета, программу управления выходным пор­том. На принципиальной схеме представить микропроцессор с указанием всех задействованных выходов; преобразователь параллельного кода в по­следовательный, линейный формирователь. Принципиальная схема должна сопровождаться кратким описанием ее работы.

3. ЗАДАНИЕ НА ИССЛЕДОВАНИЕ

Исследовать работу предложенных шифраторов, дешифраторов, мультиплексоров, демультиплексоров и преобразователей кодов.

ОФОРМЛЕНИЕ ОТЧЕТОВ

В отчете необходимо представить схемы исследованных элементов и временные диаграммы, а также номер варианта, таблицы преобразования, таблицу функционирования кодопреобразователя, принципиальную схему преобразователя с описанием его работы. Принципиальная схема должна быть оформлена с соблюдением требований ЕСКД. В конце отчета указывается список литературы.


библиографический список

1.     Аналоговые и цифровые интегральные микросхемы / Под ред. С.В.Якубовского. М: Радио и связь, 1997. 432 с.

2.     Гусев В.Г., Гусев Ю.М Электроника. М.: Высш. шк., 1996. 490 с. ил.

3.     Калиш Г.Г. Основы вычислительной техники М.: Высш. шк., 2000.-271 с: ил.

4.     Шило В.И. Популярные цифровые микросхемы. М: Радио и связь, 1998. 320 с: ил.

5.     Каган Б.М., Сташин В.В. Основы проектирования микропроцессорных устройств автоматики. М.: Энергоатомиздат, 1997.

6.     Каган Б.М. Электронные вычислительные машины и системы 3 изд. М.: Энергоатомиздат, 1991.

7.     Мокрецов В.Д. Комбинационные схемы в МП систе­мах: Учебное пособие. Свердловск: УПИ, 1999. 97 с: ил.

8.     Применение ИМС в электронной вычислительной технике: Справочник Под ред. Б.Н.Файзулаева. Ра­дио и связь, 1997, 476с: ил.

9.     Цифровые и аналоговые интегральные микросхемы: справочник под ред. СВ. Якубовского М.: Радио и связь, 1994


ПРИЛОЖЕНИЕ

Цоколевка некоторых микросхем

К155ИДЗ

Дешифратор – демультиплексор 4 линии на 16.

Назначение выводов: 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17 – выходы Yl, Y2, Y3, Y4, Y5, Y6, Y7, Y8, Y9, Y10, Yll, Y12, Y13, Y14, Y15, Y16; 12 – общий; 18, 19 – стробирующие входы XI, Х2; 20,21,22,23 – информационные входы Х6, Х5, Х4, ХЗ; 24 – напряжение питания.

К155ИД4

Сдвоенный дешифратор – демультиплексор 2-4.

Назначение выводов: 1 – вход информационный, 2 – стробирующий вход, 3, 13 – адресный вход, 4, 5, 6, 7, 9, 10, 11, 12 – выходы, 8 – общий, 14 – стробирующий (инверсный) вход, 15 – вход информационный (инверсный), 14 –напряжение питания

К155КП7

Селектор — мультиплексор данных на 8 каналов со стробированием.

Назначение выводов: 1, 2, 3, 4, 7, 9, 10, 11, 12, 13, 14, 15 – входы; 5, 6 – выходы; 8 – общий; 16 – напряжение питания.

К155ИР13

Четырехразрядный универсальный сдвиговый регистр.

1 – вход режимный S0; 2 – вход последовательного ввода информации при сдвиге вправо DR; 3 – вход информационный D0; 4 – выход Q0; 5 – вход D1; 6 – выход Q1; 7 – вход D2; 8 – выход Q2; 9 – вход D3; 10 – выход Q3; 11 – вход синхронизации С; 12 – общий; 13 – вход инверсный "сброс" R; 14 – выход Q4; 15 – вход D4; 16 – выход Q5; 17 – вход D5; 18 – выход Q6; 19 – вход D6; 20 – выход Q7; 21 – вход D7; 22 – вход последовательного ввода информации при сдвиге влево DL; 23 – вход режимный S1; 24 – напряжение питания;

К155ИВ1

Приоритетный шифратор 8 каналов в 3.


1 – вход X4; 2 – вход X5; 3 – вход X6; 4 – вход X7; 5 – вход E; 6 – выход A2; 7 – выход A1; 8 – общий; 9 – выход A0; 10 – вход X0; 11 – вход X1; 12 – вход X2; 13 – вход X3; 14 – выход GS; 15 – выход E; 16 – напряжение питания;

К155ИД1

Двоично-десятичный дешифратор с высоковольтным выходом.

1 – выход V8; 2 – выход V9; 3 – вход X1; 4 – вход X4; 5 – напряжение питания (+Uп ); 6 – вход X2; 7 – вход X3; 8 – выход V2; 9 – выход V3; 10 – выход V7; 11 – выход V5; 12 – общий; 13 – выход V4; 14 – выход V5; 15 – выход V1; 16 – выход V0;

К155КП2

Сдвоенный цифровой селектор-мультиплексор 4-1.


1 – вход разрешения V1; 2 – вход выборки разряда S2; 3 – вход информационный A3; 4 – вход информационный A2; 5 – вход информационный A1; 6 – вход информационный A0; 7 – выход A; 8 – общий; 9 – выход D; 10 – вход информационный D0; 11 – вход информационный D1; 12 – вход информационный D2; 13 – вход информационный D3; 14 – вход выборки разряда S1; 15 – вход разрешения V2; 16 – напряжение питания;

К155КП5

Селектор-мультиплексор данных на 8 каналов.

1 – вход X5; 2 – вход X4; 3 – вход X3; 4 – вход X2; 5 – вход X1; 6 – выход Y; 7 – общий; 8 – вход X11; 9 – вход X10; 10 – вход X9; 11 – вход X8; 12 – вход X7; 13 – вход X6; 14 – напряжение питания;

К155ИР1

Четырехразрядный универсальный сдвиговый регистр.

1 – информационный вход V1; 2 – в ход первого разряда D1; 3 – вход второго разряда D2; 4 – вход третьего разряда D3; 5 – вход четвертого разряда D4; 6 – вход выбора режима V2; 7 – общий; 8 – вход синхронизации C2; 9 – вход синхронизации C1; 10 – выход четвертого разряда; 11 – выход третьего разряда; 12 – выход второго разряда; 13 – выход первого разряда; 14 – напряжение питания;

К155ИР15

Регистр четырехразрядный с тремя состояниями выхода.

1 – управление выходами V1; 2 – управление выходами V2; 3 – выход первого разряда Q1; 4 – выход второго разряда Q2; 5 – выход третьего разряда Q3; 6 – выход четвертого разряда Q4; 7 – вход синхронизации C; 8 – общий; 9 – разрешение данных V3; 10 – разрешение данных V4; 11 – вход четвертого разряда D4; 12 – вход третьего разряда D3; 13 – вход второго разряда D2; 14 – вход первого разряда D1; 15 – вход установки нуля; 16 – напряжение питания;

К155КП7

Селектор-мультиплексор на восемь каналов со стробированием

1-4 – входы информационные D3-D1; 5 – выход Y1; 6 – выход Y2; 7 – вход разрешения; 8 – общий; 9 – вход C; 10 – вход B; 11 – вход A; 12-15 – входы информационные D7-D4; 16 – напряжение питания;

К155ИР17

Четырехразрядный универсальный сдвиговый регистр.

1 – инверсный вход Е (разрешение); 2 – выход J0; 3 – инверсный выход С (завершение преобразования); 4 – выход Q0; 5 – выход Q1; 6 – выход Q2; 7 – выход Q3; 8 – выход Q4; 9 – выход Q5; 10,15,22 – свободные; 11 – вход данных D; 12 – общий; 13 – вход С (тактовый); 14 – инверсный вход S (пуск); 16 – выход Q6; 17 – выход Q7; 18 – выход Q8; 19 – выход Q9; 20 – выход Q10; 21 – выход Q11; 23 – инверсный выход Q11; 24 – напряжение питания;

Логическое и функциональное программирование
ЛОГИЧЕСКОЕ И ФУНКЦИОНАЛЬНОЕ ПРОГРАММИРОВАНИЕ Введение Целью логического и функционального программирования является вывод решений и они тесно связаны ...
Например, x-y отвечает функциям от двух переменных h и k: h(x, y) = x-y, k(y, x) = -y+x. Это можно записать:
s(a (b)) = s(a, b) = lx.[ly.[x-y]](a, b) = a-b.
Раздел: Рефераты по информатике, программированию
Тип: учебное пособие
Проектирование трансляторов
ЛЕКЦИЯ 1 СУЩНОСТЬ ПРЕДМЕТА. СОДЕРЖАНИЕ КП. СРОКИ. ОРГАНИЗАЦИЯ РАБОТ. МАТЕМАТИЧЕСКИЙ АППАРАТ. СТРУКТУРНАЯ СХЕМА ТРАНСЛЯТОРА. ПРОХОДЫ ТРАНСЛЯТОРА ...
Vт = { А, B }, Vn = { S, D, H, B`, A`
X - конечное множество входных символов, Х=Vt U # -маркер;
Раздел: Рефераты по информатике, программированию
Тип: реферат
Основы программирования на языке Паскаль
Как работать с книгой Внимательно прочитайте соответствующий раздел теории (одну главу), разберите все примеры, чтобы вам все было понятно, при этом ...
if (x+h>=a) and (x+h<=b) then fxposl:= abs(sin(x+h)) else
в процедуре a,b,c,d - входные данные, x,y,z - результаты.
Раздел: Рефераты по информатике, программированию
Тип: учебное пособие
Основы анализа и синтеза комбинационных логических устройств
Министерство образования Российской Федерации Российский химико-технологический университет им. Д. И. Менделеева Новомосковский институт Основы ...
Шифраторы выполняют функцию, обратную дешифраторам, т.е. преобразуют унитарный код в двоичный или двоично-десятичный.
Синтезировать шифратор на пять входов, выход которого представляется в двоичном коде.
Раздел: Рефераты по информатике, программированию
Тип: учебное пособие
Цифровая схемотехника
" ЦИФРОВАЯ СХЕМОТЕХНИКА " ХАРЬКОВ 2006 СОДЕРЖАНИЕ Предисловие 1 ЛОГИЧЕСКИЕ И СХЕМОТЕХНИЧЕСКИЕ ОСНОВЫ ЦИФРОВОЙ МИКРОСХЕМОТЕХНИКИ 1.1 Основные понятия ...
Из таблицы функционирования двухвходового дешифратора следует, что номер активного выхода, на котором присутствует единица, совпадает с двоичным кодом на входах, если его ...
0, на том из выходов, номер которого соответствует десятичному эквиваленту входного кода (вход 1 - младший разряд, вход 8 -старший), будет лог.
Раздел: Рефераты по коммуникации и связи
Тип: учебное пособие